Home Forums MagCAD Support HDL Models pNML simulation parameters

Viewing 9 posts - 1 through 9 (of 9 total)
  • Author
    Posts
  • #1113
    lavish jainlavish jain
    Participant

    Hi,
    We are using Xilinx ISE for simulation of VHDL codes generated by MagCAD. Following is a screenshot of the output waveforms generated for 2D minority voter:

    2D minority logic output paramenters

    We are treating 3 values marked by circles as t_prop, t_nuc and critical path respectively. The value marked by the arrow is being treated as latency. But these values differ from the values given in the paper by a very small difference for all designs. I have attached an excel sheet with a comparison between “the values given in the paper” and “the values generated by the tool”:

    Excel sheet with a comparison between data.

    Is the difference between the values acceptable? If not, then how to get the exact values?

    Also, as you mentioned that the area is generated by the tool itself, I am unable to find the “bounding box area”. Kindly explain me the procedure to find the area.

    • This topic was modified 6 years, 3 months ago by lavish jainlavish jain.
    • This topic was modified 6 years, 3 months ago by FabrizioFabrizio.
    #1115
    Chinmay JoshiChinmay Joshi
    Participant

    Hi,

    I am also using Xilinx ISE for simulation of the VHDL netlist. I am also not getting the same output as the one shown in the paper. Also, I wanted to know how to change the t_clock. Every time I export the VHDL code, a definition_pnml.vhd file is generated. When trying to simulate a design do we have to use the file specific to the design or is it fine if we use a general definition_pnml.vhd file. Like for example if I generate a VHDL file for full adder, can I use that definition_pnml.vhd file for a minority voter? or do I have to use the minority voter definition_pnml.vhd file?

    #1120
    FabrizioFabrizio
    Moderator

    Hi Lavish,

    I think that the values you got are a bit different because of the different grid size and the different nanowire width that you used within the design. Please look at the paper and check the parameters used in your design.
    The automatic bounding box area calculation will be included in the next release that will be distributed in a few weeks. At the moment you can compute the bounding rectangle by looking at the maximum X and Y coordinate of your design.

    #1122
    FabrizioFabrizio
    Moderator

    Hi Chinmay,

    some portions of the generated definition_pnml.vhd are strictly related to the design. As a consequence, you should use the generated “definition” files to simulate your circuit.
    The minimum t_clock is automatically computed by the tool during the VHDL netlist extraction, according to the compact model associated to the technology. If you want to change it you can find it within the definition file. Keep in mind that reducing too much t_clock the circuit would not work properly.

    I hope I have clarified your doubts.

    Fabrizio

    #1126
    Chinmay JoshiChinmay Joshi
    Participant

    Hi Fabrizio,

    Thanks for clearing my doubt. I have another problem. When I try the minority voter circuit in MagCAD, the output comes that of a majority voter. This is true for the 2D voter. But in the case of 3D minority voter a random output sequence is generated. Same happens when I try to implement full adder. I guess it uses the majority voter principle.

    #1127
    FabrizioFabrizio
    Moderator

    Hi Chinmay,

    could you please upload the circuit’s layout and a meaningful portion of the waveforms of the 2D minority voter?

    Thanks.

    Fabrizio

    #1129
    Chinmay JoshiChinmay Joshi
    Participant

    The output waveform is for the input a=1 b=1 c=0

    #1135
    FabrizioFabrizio
    Moderator

    Dear Chinmay,

    in the MagCAD user guide and in our paper, we consider as input of the minority the magnetisation of the 3 input magnets. Remember that if you apply a 110 within the testbech, you will get a 001 on the input magnets (because you have ANCs which work as inverters). As a consequence, the circuit output will be 1, which is the minority of the inputs. The attached image should clarify your issue.

    Let me know if something is still not clear.

    Fabrizio

    Attachments:
    #1137
    Chinmay JoshiChinmay Joshi
    Participant

    Hi Fabrizio,

    Sorry I read that reversing of the inputs now. I got to know why my output wasn’t coming. Thanks for clearing my doubt.

Viewing 9 posts - 1 through 9 (of 9 total)
  • The topic ‘pNML simulation parameters’ is closed to new replies.