Home Forums MagCAD Support HDL Models Error in VHDL Simulation using Xilinx ISE Reply To: Error in VHDL Simulation using Xilinx ISE

#1273
UmbertoUmberto
Moderator

Hi,
the clock process in your testbench is incorrect. Please use the template automatically generated by the tool and modify only the input stimuli process. Furthermore, the wait statement in the input process should be a little longer, and check to simulate for enough time in order to get output value.

Regards,
Umberto