Home Forums ToPoliNano Support Simulation ToPoliNano Import Reply To: ToPoliNano Import

#1446
peyman safiripeyman safiri
Participant

my testbench code :

--------------------------------------------------------------------------------
-- Testbench automatically generated by MagCAD                         --
-- Date: 15/04/2018                                                           --
-- Time: 22:27:17                                                             --
--------------------------------------------------------------------------------
-- Entity: iNML/xor1/xor
--------------------------------------------------------------------------------
-- DO NOT MODIFY THIS TB, EXEPT THE INPUT STIMULI PROCESS
--------------------------------------------------------------------------------

library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.NML_definitions.all;
use work.NML_components.all;

entity tb_iNML_xor1_xor is
GENERIC
	(
		phases: natural := 3;
		period: time	:= 10 ns
	);
end tb_iNML_xor1_xor;

architecture Behavioural of tb_iNML_xor1_xor is

signal A :std_logic;
signal A_param : param_data := (others => 0.0);
signal BN :std_logic;
signal BN_param : param_data := (others => 0.0);
signal B :std_logic;
signal B_param : param_data := (others => 0.0);
signal NA :std_logic;
signal NA_param : param_data := (others => 0.0);
signal xorout :std_logic;
signal xorout_param : param_data := (others => 0.0);

component iNML_xor1_xor is
port(
	A: in std_logic;
	A_param: in param_data := (others => 0.0);
	BN: in std_logic;
	BN_param: in param_data := (others => 0.0);
	B: in std_logic;
	B_param: in param_data := (others => 0.0);
	NA: in std_logic;
	NA_param: in param_data := (others => 0.0);
	xorout: out std_logic;
	xorout_param: out param_data := (others => 0.0);
	
end component;

begin

DUT : iNML_xor1_xor port map(A => A, A_param => A_param, 
BN => BN, BN_param => BN_param, 
B => B, B_param => B_param, 
NA => NA, NA_param => NA_param, 
xorout => xorout, xorout_param => xorout_param);

  
--------------------------------------------------------------------------------
-- PLEASE, DO NOT MODIFY BEFORE THIS POINT
-- USE THIS PROCESS TO INSERT INPUT STIMULI
-- Please use the following example as a reference:
-- input_proc: process
-- begin
-- in1<='0';
-- in2<='0';
-- wait for 30 ns;
-- in1<='0';
-- in2<='1';
-- wait for 30 ns;
-- in1<='1';
-- in2<='1';
-- wait for 30 ns;
-- in1<='1';
-- in2<='0';
-- wait for 100 us;
-- process input_proc;
--
--
--------------------------------------------------------------------------------

input_proc: process
begin
 --insert your input stimuli here 
 
 BN <='0';
 B <='1';
 NA <='0';
 A <='1';
 wait for 50 ns;
 BN <='1';
 B <='0';
 NA <='0';
 A <='1';
 wait for 50 ns;

end process input_proc;

end Behavioural;
  • This reply was modified 5 years, 12 months ago by FabrizioFabrizio. Reason: Please paste code within code tags