Home Forums MagCAD Support HDL Models unable to get the output waveform Reply To: unable to get the output waveform

#1288
UmbertoUmberto
Moderator

Hi,
without the “definition.vhd” I cannot try your layout. Anyway, with my definition file, after inserting the stimuli in the TB, everything works fine (it is an exnor to be correct). Be sure to set your inputs in the stimuli process and run the simulation for enough time (in the order of tens of us).

Regards,
Umberto